fusesoc VS viv-prj-gen

Compare fusesoc vs viv-prj-gen and see what are their differences.

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development (by olofk)

viv-prj-gen

tcl scripts used to build or generate vivado projects automatically (by TripRichert)
Our great sponsors
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • SaaSHub - Software Alternatives and Reviews
fusesoc viv-prj-gen
12 8
1,115 21
- -
7.6 1.9
12 days ago 10 months ago
Python CMake
BSD 2-clause "Simplified" License MIT License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

fusesoc

Posts with mentions or reviews of fusesoc. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-03-28.

viv-prj-gen

Posts with mentions or reviews of viv-prj-gen. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-05-11.

What are some alternatives?

When comparing fusesoc and viv-prj-gen you can also consider the following projects:

litex - Build your hardware, easily!

Vivado_NonProjectMode_Example - An Basic Example and outline of the Vivado non Project mode Workflow

edalize - An abstraction library for interfacing EDA tools

corundum - Open source FPGA-based NIC and platform for in-network compute

opentitan - OpenTitan: Open source silicon root of trust

ghdl - VHDL 2008/93/87 simulator

cocotb - cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Documentation - OSVVM Documentation

teroshdl-documenter-demo - This is an example of how TerosHDL can generate your documentation project from the command line. So you can integrate it in your CI workflow.

OsvvmLibraries - Start here. Includes all other OSVVM libraries as submodules: Utility, Common, Verification Component, and Script.

rocket-chip - Rocket Chip Generator

hdl - HDL libraries and projects