fusesoc VS VHDL_Lib

Compare fusesoc vs VHDL_Lib and see what are their differences.

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development (by olofk)

VHDL_Lib

Library of VHDL components that are useful in larger designs. (by xesscorp)
Our great sponsors
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • WorkOS - The modern identity platform for B2B SaaS
  • SaaSHub - Software Alternatives and Reviews
fusesoc VHDL_Lib
12 1
1,115 217
- -
7.6 2.5
14 days ago 7 months ago
Python VHDL
BSD 2-clause "Simplified" License MIT License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

fusesoc

Posts with mentions or reviews of fusesoc. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-03-28.

VHDL_Lib

Posts with mentions or reviews of VHDL_Lib. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-11-06.

What are some alternatives?

When comparing fusesoc and VHDL_Lib you can also consider the following projects:

litex - Build your hardware, easily!

opentitan - OpenTitan: Open source silicon root of trust

edalize - An abstraction library for interfacing EDA tools

ibex - Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy.

oh - Verilog library for ASIC and FPGA designers

cocotb - cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

libsv - An open source, parameterized SystemVerilog digital hardware IP library

teroshdl-documenter-demo - This is an example of how TerosHDL can generate your documentation project from the command line. So you can integrate it in your CI workflow.

rocket-chip - Rocket Chip Generator

vcdvcd - Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.

axi - AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication