firrtl
f4pga-arch-defs
Our great sponsors
firrtl | f4pga-arch-defs | |
---|---|---|
4 | 19 | |
688 | 245 | |
3.1% | 1.2% | |
0.0 | 7.7 | |
8 days ago | 1 day ago | |
Scala | Jupyter Notebook | |
Apache License 2.0 | ISC License |
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.
firrtl
- Firrtl – Flexible Intermediate Representation for RTL
-
FPGA Interchange format to enable interoperable FPGA tooling
Did any project other than Chisel make use of FIRRTL? https://github.com/chipsalliance/firrtl
-
Scala project (FIRRTL) failing to build on NixOS
I am trying to build an SBT based project called FIRRTL on NixOS in a nix-shell (I've tried with and without --pure). However, the build keeps failing on NixOS, but it successfully builds in a --pure shell on macOS (11.2.1). Since I'm not really a Scala developer (trying to get into chisel for RTL), I have no idea what could be missing from my .nix which is available on macOS by default.
I think the protoc comes from here?
f4pga-arch-defs
-
Learning Verilog and FPGA
QuickLogic [1] seems to support and target the open tool environment for their devices -especially the F4PGA [2] toolkit (known earlier as symbiflow). Others have mentioned Lattice FPGAs. But the impression I got from Lattice is that they like the development of open tools, but don't want to invest too much in it. QuickLogic on the other hand, contribute code and design directly to F4PGA project and allied ones like Migen.
[1]: https://www.quicklogic.com/software/qorc-mcu-efpga-fpga-open...
[2]: https://f4pga.org/
-
OpenPOWER Foundation Demoes the LibreBMC Power-Based Open-Source BMC
I note that F4PGA (formerly SymbiFlow) Project X-Ray folks are documenting Xilinx FPGAs, hopefully you will be able to replace your use of Vivado at some point:
-
Arduino IDE 2.0
Have you looked at F4PGA? They are working on exactly that.
-
Embedded Systems Weekly #119
F4PGA In the world of FPGA tools, it is refreshing to be able to use the suite of open source tools provided by F4PGA. For now, it supports only Xilinx 7-Series, Lattice iCE40, Lattice ECP5 FPGAs and QuickLogic EOS S3 but the team behind the projet is working to support more targets.
-
Hacker News top posts: Sep 16, 2022
F4PGA: Open FPGA Tooling: Xilinx 7-Series, Lattice iCE40/ECP5, QuickLogic EOS S3\ (19 comments)
-
Parallel Programming for FPGAs
There are some FPGAs that have been _fully_ reverse engineered and now have open source toolchains, such as Lattice iCE40 and Lattice ECP5(-5G). Others are coming along, such as Xilinx 7 series and Lattice's Nexus FPGAs (CrossLink and Certus products).
See: https://f4pga.org/
As far as boards go, there are many, many out there. Some of my favorites are:
Alchitry Au: https://www.sparkfun.com/products/16527
Olimex iCE40HX8K-EVN: https://www.olimex.com/Products/FPGA/iCE40/iCE40HX8K-EVB/ope...
ECP5-5G evaluation kit: https://www.latticesemi.com/products/developmentboardsandkit...
- FPGA Interchange format to enable interoperable FPGA tooling
-
Reliable Verilog dependency analysis
The tool I'm referring to is the one from this PR that bears a rather innocent name: https://github.com/SymbiFlow/symbiflow-arch-defs/pull/2225). The version in the PR however, is EXTREMELY outdated. The current, up-to-date version is unfortunately not public (yet) and I can't say whether it's going to solve all the issues with customizing a flow, but I tried my best to make it as flexible as I could. The IP-integration you talked about might be a problem, since that seems to be specific to Vivado, which is not a part of Symbiflow toolchain.
- Tiny ML for Big Hearts on an 8-bit Microcontroller.Predict the possibility of arrhythmias on an 8- bit Microcontroller, without sending the corresponding sensor data to the cloud.
- Thoughts on OSFPGA?
What are some alternatives?
apio - :seedling: Open source ecosystem for open FPGA boards
finn-examples - Dataflow QNN inference accelerator examples on FPGAs
python-fpga-interchange - Python interface to FPGA interchange format
verible - Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
chisel - Chisel: A Modern Hardware Design Language
dotty - The Scala 3 compiler, also known as Dotty.
f4pga - FOSS Flow For FPGA
patchelf - A small utility to modify the dynamic linker and RPATH of ELF executables
netlistsvg - draws an SVG schematic from a JSON netlist
edalize - An abstraction library for interfacing EDA tools
arduino-ide - Arduino IDE 2.x
ideas - Random ideas and interesting ideas for things we hope to eventually do.