OsvvmLibraries VS AXI4

Compare OsvvmLibraries vs AXI4 and see what are their differences.

OsvvmLibraries

Start here. Includes all other OSVVM libraries as submodules: Utility, Common, Verification Component, and Script. (by OSVVM)

AXI4

AXI4 Full, Lite, and AxiStream verification components. AXI4 Interface Master, Responder, and Memory verification components. AxiStream transmitter and receiver verification components (by OSVVM)
Our great sponsors
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • WorkOS - The modern identity platform for B2B SaaS
  • SaaSHub - Software Alternatives and Reviews
OsvvmLibraries AXI4
2 4
45 101
- -
7.9 7.5
9 days ago 9 days ago
QMake VHDL
GNU General Public License v3.0 or later GNU General Public License v3.0 or later
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

OsvvmLibraries

Posts with mentions or reviews of OsvvmLibraries. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-02-02.

AXI4

Posts with mentions or reviews of AXI4. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-02-02.

What are some alternatives?

When comparing OsvvmLibraries and AXI4 you can also consider the following projects:

cocotb - cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

vunit - VUnit is a unit testing framework for VHDL/SystemVerilog

ravenoc - RaveNoC is a configurable HDL NoC (Network-On-Chip) suitable for MPSoCs and different MP applications

Documentation - OSVVM Documentation

spi-to-axi-bridge - An SPI to AXI4-lite bridge for easy interfacing of airhdl register banks with any microcontroller.

viv-prj-gen - tcl scripts used to build or generate vivado projects automatically

rust_hdl

spi-fpga - SPI master and SPI slave for FPGA written in VHDL

wb2axip - Bus bridges and other odds and ends

forth-cpu - A Forth CPU and System on a Chip, based on the J1, written in VHDL

vc_axi